八路彩灯控制系统实验报告

本文由用户“大小八豆”分享发布 更新时间:2021-08-15 06:39:13 举报文档

以下为《八路彩灯控制系统实验报告》的无排版文字预览,完整格式请下载

下载前请仔细阅读文字预览以及下方图片预览。图片预览是什么样的,下载的文档就是什么样的。

八路彩灯控制系统实验报告

姓名:

学院:电子***

学号:

同作人:

一 实验目的

1.熟悉数字电路中时序逻辑电路与组合逻辑电路的设计。

2.熟悉并掌握用移位寄存器设计彩灯控制器的方法。

二 设计任务与要求

1.彩灯明暗变换节拍为0.25S和0.5S,两种节拍交替运行。

2. 彩灯演示花某某为三种(花某某自拟)。

3. 彩灯用发光二极管模拟。

要求:即能控制8路LED以两种节拍、三种花某某连续循环演示。

三 参考器件

给定器件为四2输入与非门(74LS00)1只,六反相器(74LS04)1只,二进制同步计数器(74LS161)2只,四位双向移位寄存器(74LS194)2只,四2选1数据选择器(74LS157)1只,555定时器1只,电阻、电容若干

四 实验要求

按照设计任务设计电路,然后在仿真软件上进行虚拟实验,正确后,在实验板上搭建实验电路,观察彩灯花某某是否正确,如果不正确,排除故障直至正确为止。最后一步是撰写实验报告、整理文档,对实验进行总结。

五 设计说明

彩灯控制器原理框图如图5-45所示。图中,虚线以上为处理器,虚线以下是控制器。

从图5-45可以看出,编码发生器的功能是:根据花某某要求按节拍送出8位状态编码信号,以便控制灯的亮灭。其电路可以选用四位双向移位寄存器来实现。八路灯用两片移位寄存器级联就可以实现。

缓冲驱动电路的功能是:提供彩灯所需要的工作电压和电流,隔离负载对编码发生器工作的影响。

彩灯控制器对定时器的要求不高,振荡器可采用环形振荡器或555定时器来实现。

控制电路为编码发生器提供所需要的节拍脉冲和控制信号,以同步整个系统工作。

最终效果

1. 从左至右顺次渐亮,全某某逆序渐灭;

2. 中间到两边对称地逐渐渐亮,全某某,再由中间向两边逐一

熄灭;

3. 八路灯分两半,从左至右顺次渐亮,全某某全某某。

原理框图

/

VHDL语言

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity balucaideng is

port(

clk:in std_logic;

en:in std_logic;

clr:in std_logic;

l:out std_logic_vector(7 downto 0)

--control signal of the balucaideng

);

end balucaideng;

architecture one of balucaideng is

signal count_q:integer range 0 to 86;

begin

counter:process(clk,clr)

begin

if(clr='0')then

count_q请点击下方选择您需要的文档下载。

  1. 物理实验报告探究光的折射规律
  2. 实验一 EViews软件的基本操作
  3. 实验指导书-实验2 VLAN和VTP配置
  4. 实现模拟电梯控制软件实验报告
  5. 办公软件应用实验报告
  6. 护理伦理学(七)
  7. 《Java语言基础》实验指导书
  8. 电气控制与plc技术-实验报告1
  9. 循环结构和数组程序设计实验3
  10. 实验三 单元测试
  11. 1.2氧气的制备与性质实验教学
  12. 动态路由选择算法实验报告4模板
  13. 瓶子吹气球实验记录单
  14. 实验一、二、三-按照工程教育认证要求整改课内实验报告电子版--离散数学
  15. 物理高考实验题汇编
  16. 信息处理技术第1次实验报告
  17. 现代教育技术与应用课程实验报告
  18. 实验 扑热息痛的合成
  19. 20年上半年工作总结
  20. 热风干燥特性实验

以上为《八路彩灯控制系统实验报告》的无排版文字预览,完整格式请下载

下载前请仔细阅读上面文字预览以及下方图片预览。图片预览是什么样的,下载的文档就是什么样的。

图片预览